Home Hardware Networking Programmazione Software Domanda Sistemi
Conoscenza Informatica >> hardware >> Dispositivi di Input & Output >> .

Come bloccare un Pin in VHDL

VHDL sta per questo linguaggio di programmazione funziona con automazione della progettazione elettronica di istituire circuiti integrati, gate array e altri sistemi " VHSIC linguaggio di descrizione hardware . " . Quando si lavora con un pacchetto software che utilizza VHDL nella progettazione di sistemi , è possibile importare i file VHDL e quindi bloccare i piedini del dispositivo che si sta analizzando i segnali particolari del dispositivo di prova . Istruzioni
1

importare il file VHDL che si desidera lavorare. Se cantano ispLEVER , andare a "Fonte " e poi " Importa ". Viene visualizzata una finestra di dialogo pop- up, quindi si dovrebbe scegliere la cartella in cui si trova il file desiderato . Fare clic su "Apri" e trovate il file al suo interno. Scegliere " VHDL Module" quando appare la finestra di dialogo "Importa Fonte Tipo " , e quindi premere " OK ".
2

Vai alla finestra di dialogo che contiene i sorgenti che si sta utilizzando per il vostro progetto . In ispLEVER , questa sarà la voce " Fonti del progetto . " Trova "Processi per la fonte di corrente" e fare doppio clic su " Editor vincoli ".
3

Spostare il del mouse per " loc" nella barra degli strumenti della finestra di vincolo e clic su di esso . Quando la finestra di dialogo elenco segnale apre, collegare i numeri dei pin per i loro incarichi . Quando avete finito di blocco segnali ai loro piedini , è possibile fare clic su "OK" per salvare la configurazione . Salva tutti questi cambiamenti .

 

hardware © www.354353.com